diff --git a/.gitignore b/.gitignore index 3549add5..a00834ac 100644 --- a/.gitignore +++ b/.gitignore @@ -17,7 +17,6 @@ src/uploads/allimg/* src/.txt src/data/enums/ src/index.html -src/data/module/ src/uploads/*.php src/data/time.lock.inc src/m/index.html @@ -38,5 +37,6 @@ src/data/sitemap.html src/data/js/ src/data/vote/*.js src/data/module/*.xml +src/data/module/*.php src/data/*.db src/uploads/soft/*/ diff --git a/src/data/module/index.html b/src/data/module/index.html new file mode 100755 index 00000000..87245193 --- /dev/null +++ b/src/data/module/index.html @@ -0,0 +1 @@ +dir \ No newline at end of file